25 research outputs found

    A survey of recent contributions of high performance NoC architectures

    Get PDF
    The Network-on-Chip (NoC) paradigm has been herald as the solution to the communication limitation that System-On-Chip (SoC) poses. However, power consumption is one of its major defects. To ensure that a high performance architecture is constructed, analyzing how power can be reduced in each area of the network is essential. Power dissipation can be reduced by adjustments to the routers, the architecture itself and the communication links. In this paper, a survey is conducted on recent contributions and techniques employed by researchers towards the reduction of power in the router architecture, network architecture and communication links

    A survey of system level power management schemes in the dark-silicon era for many-core architectures

    Get PDF
    Power consumption in Complementary Metal Oxide Semiconductor (CMOS) technology has escalated to a point that only a fractional part of many-core chips can be powered-on at a time. Fortunately, this fraction can be increased at the expense of performance through the dark-silicon solution. However, with many-core integration set to be heading towards its thousands, power consumption and temperature increases per time, meaning the number of active nodes must be reduced drastically. Therefore, optimized techniques are demanded for continuous advancement in technology. Existing eļ¬€orts try to overcome this challenge by activating nodes from diļ¬€erent parts of the chip at the expense of communication latency. Other eļ¬€orts on the other hand employ run-time power management techniques to manage the power performance of the cores trading-oļ¬€ performance for power. We found out that, for a signiļ¬cant amount of power to saved and high temperature to be avoided, focus should be on reducing the power consumption of all the on-chip components. Especially, the memory hierarchy and the interconnect. Power consumption can be minimized by, reducing the size of high leakage power dissipating elements, turning-oļ¬€ idle resources and integrating power saving materials

    An Ageing-Aware and Temperature Mapping Algorithm For Multi-Level Cache Nodes

    Get PDF
    Increase in chip inactivity in the future threatens the performance of many-core systemsĀ and therefore, efficient techniques are required for continuous scaling of transistors. As of a result of thisĀ challenge, future proposed many-core system designs must consider the possibility of a 50% functioningĀ chip per time as well maintaining performance. Fortunately, this 50% inactivity can be increased by managing the temperature of active nodes and the placement of the dark nodes to leverage a balance workingĀ chip whilst considering the lifetime of nodes. However, allocating dark nodes inefficiently can increaseĀ the temperature of the chip and increase the waiting time of applications. Consequently, due to stochasticĀ application characteristics, a dynamic rescheduling technique is more desirable compared to fixed designĀ mapping. In this paper, we propose an Ageing Before Temperature Electromigration-Aware, Negative BiasĀ Temperature Instability (NBTI) & Time-dependent Dielectric Breakdown (TDDB) Neighbour AllocationĀ (ABENA 2.0), a dynamic rescheduling management system which considers the ageing and temperatureĀ before mapping applications. ABENA also considers the location of active and dark nodes and migrateĀ task based on the characteristics of the nodes. Our proposed algorithm employ Dynamic Voltage FrequencyĀ Scaling (DVFS) to reduce the Voltage and Frequency (VF) of the nodes. Results show that, our proposedĀ methods improve the ageing of nodes compared to a conventional round-robin management system by 10%Ā in temperature, and 10% agein

    ABENA: An Ageing before Temperature Electromigration-Aware Neighbour Allocation for Many-Core Architectures

    Get PDF
    The percentage of inactive nodes or dark nodes (we refer to dark nodes as dumso) in many-core systems increases because of power dissipation caused by continuous scaling in technology. To address this challenge, existing work employ several techniques. Some techniques place the dumso nodes strategically between active nodes to alleviate the temperature by choosing the nodes which are far away from each other. However, this increases the latency between nodes which require inter communication leading to performance degradation. Others employ Dynamic Thermal Management (DTM) to vary the Voltage Frequency (V/F) Scaling of the nodes whilst Task migration is used to migrate tasks. In this paper, an Ageing Before Temperature Eletromigration-Aware Neighbour Allocation (ABENA) is proposed to alleviate the temperature of the nodes by using the Lifetime of nodes as the main parameter. Experiments show that our approach improves the lifetime of nodes

    AMA: An Ageing Task Migration Aware for High Performance Computing

    Get PDF
    The Dark-Silicon challenge poses a design problem for future many-core systems. As a result of this, several techniques have been introduced to improve the number of processing elements that can be powered on. One of the techniques employed by many is Task Migration. In this paper, An Ageing Task Migration Aware for High-Performance Computing (AMA) is proposed to improve the lifetime of nodes. The proposed method determines which clusters applications are mapped to and, migrates high-demand tasks amongst nodes to improve the lifetime at every epoch. Experimental results show that the proposed method outperforms state-of-the-art techniques by more than 10%

    A survey of low power design techniques for last level caches

    Get PDF
    The end of Dennard scaling has shifted the focus of performance enhancement in technology to power budgeting techniques, specifically in the nano-meter domain because, leakage power depletes the total chip budget. Therefore, to meet the power budget, the number of resources per die could be limited. With this emerging factor, power consumption of on-chip components is detrimental to the future of transistor scaling. Fortunately, earlier research has identified the Last Level Cache (LLC) as one of the major power consuming element. Consequently, there have been several efforts towards reducing power consumption in LLCs. This posters presents a survey of recent contribution towards reducing power consumption in the LLC

    A survey of recent contributions of high performance NoC architectures

    No full text

    A survey of recent contributions of high performance NoC architectures

    No full text
    corecore